From 4d676a41cd177cb687b47625d29a624dfde50e81 Mon Sep 17 00:00:00 2001 From: Fabio Di Stasio Date: Wed, 1 Nov 2023 12:12:14 +0100 Subject: [PATCH] chode: add flatpak assets --- .../it.fabiodistasio.AntaresSQL.desktop | 9 +++++ .../it.fabiodistasio.AntaresSQL.metainfo.xml | 34 ++++++++++++++++++ .../flatpak/it.fabiodistasio.AntaresSQL.png | Bin 0 -> 40671 bytes 3 files changed, 43 insertions(+) create mode 100644 assets/flatpak/it.fabiodistasio.AntaresSQL.desktop create mode 100644 assets/flatpak/it.fabiodistasio.AntaresSQL.metainfo.xml create mode 100644 assets/flatpak/it.fabiodistasio.AntaresSQL.png diff --git a/assets/flatpak/it.fabiodistasio.AntaresSQL.desktop b/assets/flatpak/it.fabiodistasio.AntaresSQL.desktop new file mode 100644 index 00000000..f8dd1b75 --- /dev/null +++ b/assets/flatpak/it.fabiodistasio.AntaresSQL.desktop @@ -0,0 +1,9 @@ +[Desktop Entry] +Name=Antares SQL +Exec=startantares +Terminal=false +Type=Application +Icon=it.fabiodistasio.AntaresSQL +StartupWMClass=antares +Comment=A modern, fast and productivity driven SQL client with a focus in UX +Categories=Development; \ No newline at end of file diff --git a/assets/flatpak/it.fabiodistasio.AntaresSQL.metainfo.xml b/assets/flatpak/it.fabiodistasio.AntaresSQL.metainfo.xml new file mode 100644 index 00000000..fb91a158 --- /dev/null +++ b/assets/flatpak/it.fabiodistasio.AntaresSQL.metainfo.xml @@ -0,0 +1,34 @@ + + + it.fabiodistasio.AntaresSQL + Antares SQL + CC0-1.0 + MIT + Fabio Di Stasio + A modern, fast and productivity driven SQL client with a focus in UX + https://antares-sql.app/ + https://github.com/antares-sql/antares/issues + https://github.com/antares-sql/antares/discussions + https://paypal.me/fabiodistasio + +

Antares is an SQL client that aims to become an useful and complete tool, especially for developers.

+

The main goal is to develop a totally free, full featured, cross platform and open source alternative. +A modern application created with minimalism and semplicity in mind, with features in the right places, not hundreds of tiny buttons, nested tabs or submenu; productivity comes first.

+

Supported database:

+
    +
  • MySQL/MariaDB
  • +
  • PostgreSQL
  • +
  • SQLite
  • +
  • Firebird SQL
  • +
+
+ + + https://lh3.googleusercontent.com/drive-viewer/AK7aPaC00fbmJIUcfwSPv-hjoxEmHS8NapR8qyOqOpopMIdcDFqYKNDs5mdIK08hnhZdHMrozTfR4Hx3Yj6bQ0zgfStEEFhxWg=s1600 + + + + + + +
\ No newline at end of file diff --git a/assets/flatpak/it.fabiodistasio.AntaresSQL.png b/assets/flatpak/it.fabiodistasio.AntaresSQL.png new file mode 100644 index 0000000000000000000000000000000000000000..b3af6dbb0416f2c29e18c3b140d552d97704e69c GIT binary patch literal 40671 zcmaI7by!r<_b)s{cS}f@fFLDEGjs|_C?GLNBPl60LrDnI0*WxCs30XNF?1>|AR!Gy zHw=?6-`~Bzd*46a-+P|(JZGQttlDdT)>?b-b57C|V_j;ByA%KbfLdQq%M1Vj-Zp^% zGLqYit^XI-+Y4KuwpF0HuWMk)3x5}Yy0fpN3y;3{3pW=tmlw{VuZCTe0RTci4-2b6 zE2GDXPQKpaFaAR#{@UB`mKy+22EF!s;pFKO$m8hZ=Ha8lciaZ&PuK3iEVQaOMN4@+iMnycOW>68M7WwYQf~fZ}TvzW;nDQD~)^&TQ!si|s=%*+l5fTz29`aD!*WXP-NDbyu|5I+ z+fBC)lX(5YPeMvu@;_brZ$cxZ|9?_%@Bc#`5NPJ|f9?JM6dYg?>gOV1<`Uo=F@F)(AVF>*VpU6z4*l4H_$i0-Peyt)BF*Su%(BOvu{X%$bZor87b=f z1O&eDadOeuQsKLmAnxJetSBiZqpqbPtu7^}dFyp;EqO^fZEbZeS*eF|a1T z%hxH$+r=mFzj>YiFR#Y`$on5Cc>CRI)^hRp2zGJS@%Q!S`L8Z3di>9}$p4S>{x`4l z|7?rG|HvzGYmCHy2KN6o(Et7D7CrxI{vX)h-uxfnyZGFq-TxNW;A@I{03hF7UrXKM z^}<01d7i-B(|ch)Vy(0Gb{)+#%L_jnpQFVMo3^N_AXg6URB1q3R-^-KSA3c`73;uN zcuI8Li>`S{R5$GR%NOj!nR3J4-Z?WlGYifCX6%;j?82Y94)`Cp?fheBGt|-2adv%b zY4|?)+s}GFet!P-Pvh+~+N~5{oN02RLl$pj( zDh<66x6?xj+B(z{5o^CxlZ?ucF&78$hYW!hJCVj?f-(ZNnS6bBOAhKsNGOuVn%dT_ zFgxM%Fp`Z@ZP}U!^~$@~`UmDx;Y;dRrba(7^6<(!0N|On1(l>&`V!P6-Cd1dvV-bHnr zaDOV&y|#Ny3ksuFxjJ}Sr5Y|3@NsdX=S?)bw#TZy1?}^fwgPT!DJoY%Q-4LuIueGD z`3qAY6cDIhtecu~hMKXjT+#gv>+V>{u?ufZdPw_9t1cKj$I(?evAvT@HAzToX8?v= zG+rQo$h~rhN(FcqHnT+Kxuleh%-nj)Rnc^b z@pWI62+ih?Z)6Yn4g7Sa15HIQE&IN@UcvWzLC#)s-Nfs8&~=F=VeHhl9`!oi@JFr( z3$QnsXpsoyGddi8&_94%JFlq6Ol{G0zdSKt|M@)Vf^;*5(swRo*{`h$yH48r&~Mh~ zi!7<%b7e$vLUW7B%8lj`jugk34+jw+-LA75~z8*b5 z&|(5PbiJ;Vz*DK<4${<$mDNU+7fMgGv%652>UREsj`}O>Pf@u90*XXae_NE^OIW{s zsJ7R)CZh3!#yN1B5LLaeS_-~wf=Z_wrJ% zD5%vq9FG)E@_tk51=xeN3E5)~6+-wg5`ISH??@ zc2KXQe@0ssX1)@+ypmUg9*0c?+hPtDI6Joi>)gMI{jP8uX^XTND7^nJB};N5%o*t{ zsB9{6qcV)|6!U^Mi$R`+zItgbxk|;l8^N(&ac{*EtkLP9u5|p(Bwr4G&A2d@JZF&T zNz+BkwsZpCUqV}Qe@+(ip{HMwG*48?JRl-V&X{%mNwv%y1(7GnAtVpv2aXY(Zlo&g zLjn@tS(V1CFzddnT)7(D{(X$2toQMB`VDM<%L!0v4@tm&2jRGnM#G_**}91W*8~cx zylZXW{v3X8q6dAIIOE*|-OVrq8=Wg5t&ESNf_BNCO_7%+CD)fk$y8*1ycQ}W5GZPW zyBrU+`ABNU8NL*OMXP%K!xTap=RE$SB?jU>64;4mfp03K#^K~YTWQ{EPUswkIu_2V zrR23`5HXmHvpva$Sy(pq{G3X1xL?c?y-SR1qo1c8rMqd1IxnDV%%Tq-Ikz@;%XWj7 z5mGo5a1tB(Gj%(?;&iywo*0+MgMT$+F~^9uYDn0vN(!zqe(=xXzvnF6JAU}P89R)b z4l~hhdX$(9H3}iM9xoS}S8`8b7Vk2?H zzFxECj6(*SE=hgl0|ou$&c6?*@7)nB2FylVCblO$co6kS`LyU}`x^i8)wI&}ZIoL+ zn}KFw4^1#4KbV8bdDBAv=*~G=SWXeKA#6%0DE$xhnww zt-0#LOWyWtWwB`=sZiD?!&8S+i~56<6`@tOK-PiLz5|z_u$7O5^3>c%(;@cWk%uAp zPY;a1;{K5ihdFGrxb_p(H_SsgwV2{=ywdNGnN?jWK=$c|%X8_z1gbL5O_{#buY`f% z(eY%0Oui6f-QN22^jC|kCR&7-&!=0CbfGmTN3@ZjEE4|SD&gb`CahAzBP>51Lvd+( z9$&-^!aG=@WwDR`AlgoezO$#reE#hZt?k0w5$&a(Kkj~f@33Amx_wuzVX;QLFQYR( z;O2>1?gEgRu*53oKG7*We@;q8j(A}^;7GU=&kw37xE%464%1cugkk=Y?>Es#zU5j# zi%U!L?w=H-fDEoO#zZ1Esjl|T5``wWqgj{eqiAOANq;@~tQpl_|4;dyvbCvN&a>6( z;Vvp$H3~BavBA?p1@iWUmy~%WoKjGXCb#6u$-kQ0Jp4!RLIveJ_ZNSMM#{8pKsLZ5@2Qt%3Kw;i_)W!KfRPmhs3Pmi{Q3 z$@bp$+)l$7EE}h~*bKP(GtWI=`R^@c&pit9Hl)o11yP>y2+n=MUQdO)mf75VrkiQSoZsWx~;~0*a58GdX9G+hw7=7b_<%s0F zpy*mid>LKD9{klNdTPfa)cv&odF;Raf?<`xc?_sEUDJXTEAmx#p7A@ zlN?*c*jH}qz~#>r8OOs#l&ZXqyNMMx_l?In_3=&ft@mPRuRr+OJ7f1r z;X}+OpJ@M>f}2egSwkv@jx!Yz3Nw@!TG*0~1tHNgaoG z{C0FS*y~cu?742dbB&9@!bPKDP$Au(^;!NR50b(yt0y{3fM@v?+qBpj(qzzOIJABn z@GRya9BJrVi@UUh1HxVqy#4c7r$F|YRvYq`5?qo48-`YjtF=;JW&op4%mWEtc4-L} zbsyhR0pqxxy!sl{xIb=)(2T3IkoNt!?6B5)K*i~gY5$2Ue0gIxgSLhw!UV&9RE>$| zx<=u9>xu79)L@H2BDe!??0q&?`vAbGD<&Mb*D=e9KYv)X4~c|1OX1XH(tKE`u4v?5 zlTw4(REW#~zXRyhOViMDo>&{7@dBVCfvZ7WdPUjXI-$%23A1{ocoJT) zh-y);KUhMzC||~*btHQE1Tg9Cm-OR}^@K$G5oP%b@&c_N)Ka$%Kw)Ze*bvC%-}77; zQQe4fvC>9@Uc-Rh{A1-wq>V?MH1bPER_XvPaD%o}M=-Rn0N~=PwxwI2cCwCsIG{iw z`Kp+T@YC0|rhL^OjEEe%gWOj;QOB*XqnLyye{k_!+Db<=hbjV4)uM2FOlw-F&0^Tq z!AC*_>=fU0-eH30U9Ereagt_N*4;Db9PG3v`>CxhJTc4EGnnYZo`BQcB@p|8GT7X?*UVoN}|x%S}y?0igMkIYm!rn>BXnIEsV$)M?|T&sYjg4%Y(TJioOH|bK^&9^y||$WgscY z`)SQdk`c9kU=0UPI^__sE8pUW#0z46{ImRPAYgpyqoM_(NZT>SB1%C&6N{~DsteTh zAM!3~_G=1-sK?4`a#{|H`xF_nZx~;fT-fxGAAkb@t@EmscVUs^Lc8Z7cs>gqr<4N0 z9ZYva*P0|emFt5u&l$=KGjS7;cn_YiC`|Cf1n~7#(Di3puY@DJuwC>;8iPJlm4d+OLFPOQCwW zJTM*yR%SJ-3#=r=3PyL~%ubl3hVZGQ^Is!l4j3Rry+$UwNd4iIvAs^lJHx11inEbj zDj9)K)+tWEZ0Lv2IxiTBK8&!3&SVJ~eh?Tuy~3#YM{tGZYp~5@hV9;^;eL5v^hdJa z&ViXX;D;f&iJO0J5W@DLRsDfv^_gZ5{}#|7)S4nWR8N?RD8-PCfv5S4gxf#Zm2;6L zyIKmX5ZxaMKR2CRiU(VTkKBPGt={g@fuiR3*r>(#AAO+C3UceSiyYr_-+qf`!hw#6 z5KF^zGB|`=rvZ3g?zXN^y~EEHsK9WCIj3$N8B762+exqaM!B6Y^iLK`iZ?EfDWEhb zZg*f>s=tdglUTX<*My#$Fzo>@#zg#jXG!-p4mJ$aH{KCWzhOR*`>6$7)*4g(QUpft z&$d`nf9NlWvL*@H?%R52f;ofN_P0jMlG3?h@VGWm?>qd)6jDD}IB6xSH$u8H331$w zod}kHSu?`F6D5tRk1*1T@U=1A1vW&@!1xKx0;4UE0TU$BBgLpZ;sq_0Kh z7uK#7eFiRmsRvN+jZ$tTf!NpwPa2+~8E zRI2twh`8V2MEbFLRb;gckTiy=HCDvt6s(%w_9K2*iGBLc;G_W|+5XWsSc(ktk_wh? zzUkMl+e$FoiC$^Cn54y&yWe|GoMvuM?8kMp<5>m8{Ap8@BT<{%@a+?3(rJFT;9%qd0)sevnPgvV!SM%Z8ph;WsRl02>w%c@@k&4SE!g9WUDLJaywm)18%` zP6L*9Cc&%_k(0a0p`Zn;tfZmij+$k_qW;gTOm1rUJ@G1Xai~VL?&j$|L_SM7zkGK< zYJ5fqs>lrJ*2EC3)y?I=h0P%4xnomy=PrKtrPwcG$*Ey%$Ul$aM$I*E;5-;T>nbY@2Tz)QpoYc3Wj)C{kZFSR_t#$b{Fax9GT29SCAoC z&1n6v6zH_2Gw$c^sjywvyefAea1)SZFheH=(Kw?THEfx00STPeT7;zRL`a-w4@{}A z)RQe(X+}PYe+k58=>``glZ}XHCjBZjlx1Q>lJ$SQ_~XNa^M||j`=j6g)5WNiJ|!)} z9mBBay)ex3Tw8X>2#1;z@FV_&66W+nS0Y#R!kNEpsN`OuIwnRzmEAdW!Z2^&#P-9E zW^BLYLB;wY(6IIVd_h?ws-1F{8Z}Oy0fHIh-06Ow}?*7f1)Z*P1 zA<^~71&7`mtFq-T%$_^pvlu7m9^{)it3yLgb-H27Iq>(<-XG?!NM5cKcS#Ew@I$;C z9G6SldHvh6iLi*2OLhDwb^}UX-t8rn`)$bg>qEOIrtl#KYVb@yy~4&fpX_o-?=Q0z zhrj9luc)dtW!$Fpqy+OM^o63zJGFE-xjB4s*6mcsOaTI&r#)H* zBvv7B;!7oxhA$s%P00)i{=PG?_3gO|MRB{O?mnuRnTl%&MpMr2dUBj&iY(Mc9u zHli{kPk&A#tQh?_#*gFyv4cScPk;+~nh>%7vm%nZz9M;UVoT*WIFMGJ0awu_17AuE zyUqRU&!2194)-FTK%#YYbehNiT{q&fCtw^16udQ#j}KU=09wNf0Bmp)E=1XkGxTf3igTs zoXI!3Sbn_t!8;{FZ*%I42eN735^m`hHJ9O7t&SrIv@Vf!M`x%s-MJoc_ z)(^+tD~8a(ZQWAR+9PqvFibhib;O3u4uHe(hYz{~Px#D)^)tCf& zM;htHEIxf>I%R_8`%G7%ADcndu)!i+96cpG1tk9I8d#ykz;pMLHwMNsMDE_M&AZ7sJDlIKyTy_@Imcq|Ju;^Y)a=G(<4|;jpP?3{6Tu)6vs!@b1{-J*RGtr=qV<))k>*tDqFytxaK^q85FEuWad^-1Z)8rw9 z?;W>teT{j*yO=>#==qb^`}7m7YlIpRhHRDhLP@&gSPwG_1>fV{IqoK60+XUPzJG+; z4x40?CJ7)pKTP$>aq0ICi1%N;RMS%4yxltSFT~zorQ?8{d*5Ym&55|K6OjGNcZn)Q!f*HY127N8OgX_T83$UNh-i`Z5 zG_g@G*-$2656B)N*P15uH3rUN3XsF-&2;c2Kf0dC`v5O)s6mxCpN4LUfFb>aiN{23H;-qB_G1;cj)Z&weGG2(*WJamcAL zK8f<@@TQ5mU!%)l5&Q2w9VX77qWD-;f%w;HzYO0W5;4BcfBiFdv!uOFp&wcs!yM(t z-ko{Ee|Sast-vCYSKlpd@m9sQ)&(L_=sr8&ViU-@N|G$}*znbSTD=|b@q-F2F+(;` z(&I~NT)uvrw0I%$`Q=~~Ra;j}z^hKsNWr9zAB;WQBUgf09j?+lU8|>w&Cq1T*7qUazytB(vH$4$6#W-WrOi)n=rtg*Zzu?_^LkUYCiI+}Jl^kk0t zbhK#juKmqp$Lbu3iwraq3hEnYEw=@_diidof<@u&)98n~@hhPi80fs|D+|mz@;2@K zTZxP^QVk$y?YG$Ca!9T&zQ78sIkxyy!)FHW%1CozDVk68AiK&TV#<5RY^3NTYUPeq z?kFQAcP&=aWN}u@0;2Qn4Yhk>p&U|lFzwMu4+Th!eN@mo(TNI;x5RlU^x}t3`j`H|E!ItDS;8C7QH_gDrbD8H4^FnLDKOHJb^>4Yz$214m^nzoP&Y& z^YE?R<|F<~U#gPxKVCYJRnh8P7<~7u4c73(4xMcM4)44IU#+0O|JeW>gsY-?t@0KC zKk3hjXMVpONJBJI>^9;)|8y!1g%9E{Nj|em!aY!=5oem}b z0i4H+dW{LjZAJyz z@DDi-GWT^x2aufq`K($()c;ROc?THAj(Qwstf9s1F zsE|gH&%?iWpJS!`IzgYy+8>h`S~8Zaoabzq?fVLrvy~CrCLC#jzH+DoT%t4pK3Z&F z=%R%A7X*Se=uYEQ$%6fYqnr7jJi$GF*n@Amxv{3M&SzLPs35CU?r`7?F1)Y|ysH6F2cfrL$kOq%fN()~@)Bv^p%9^`E+&@?ibKBfhp z+Haug4P&%*@{_Mt8xZ3;e;uAl{c@{`yU>VCi#BliHGjP-aj+7=qToW)b#t^5NoG5k z0uCU5>b-<~a8z?&xQ91sI=ug5gjn&WP>TM>4TgMTtnyV~9nB{p<&8ljmufG2C1RBJ z`Tci#O5p+oYvx*@iE@O{wp&$a7ex)x|^_E z2wfsOk&3!?<1+A2MWw*!(v2Pq2gAvTV@eqQd^B7gXr}}SJE;?0a=U8he|9WUBnGJ~yMsfn=hy+j#0WXKsK{?1#9u&DB zU^x-Oz?pD;F_WOKU3#s`qQRLeFa&hKrJcank)uiB9Dr{`)^9VU$=-9IjmgAA`q%ED z9N2JlkJ(O`zgpanMRk&@c9}*stC2d@HH)}%+ zNu5M%UL8`&)22lI@YPCfHycPxpKC8<$EOOyEMmnbK?#V8So(^$kSPx8q2sxi78Eq% zr>R02R9n7m^#nI?X$VG4kkL4{O`saalh!V0!t_fMaHS!$y~Y15tNRC)2ZbkIXv&kF zav)9R`o^T=vklY4dqRi7LU>o?o(~&#gQjIy9cr$QN3!91kXZ&0<+D=%@%AmE789zd z^PxP@Cv&!}&`bS^U{_g)Rp_J%BEYdJ$YQH^1K{38Z_2R9aPa=7VNwUJVpLA(P!{`Q z|5u1DHVR+a-D0AP)$lo<=(KLMWxJQAaxyIuc|G<%0B{uvMiINu8mOL|Xbh8Au7zE4 zpmHxxMrtQZE5tHRbzdi!D|@Z<2itY0hsWhsMu+aoxbKL&%HfPN!BMzmh@uh8*^p{u zeHv2k&BO(mp#!x<&UaHmy>P}#cwgNVSbG^rD9A;Cu<+-vOi(^ZKDX-Fbe8bC_fI_k z@rIp5#OZXKW#502^5T+EC)Qq)(I2mv@R=jE_S=WOI_-f22Bl}_ib>}3u7i_8igOq4N&!xdaM5Yp8H?1G7g zw`-aAkWl=q{FUq=_M(&tFs&w(xmYsG3MzmV|3K{RX-9S75Y+3N{Yqv+Ztivwp~xYk zq=7dVM(MASu~L=+0G&j6>OE$zdleP0sf;Pt7tJBnd77EJF>7^sKCHM9&)WqszOc!9 zcn@g(jHss_Uq5tg2caFvKq^+^IxFxvi6*fcLibscCGMqDbBCODeCJGdxY&o`T83kr z+<|7K6+WFItNhrdm`g z<#Hjf(teqAwnxz1BDAo%E-N9p$pd%lZ1tsmV-o&$)SlSEJsWB6<>J)=M^GS+Rl#>+ zm7iyf8B$h%B9K1VjK!Hwn)tZG-Vi3@R7i*go|4$Er|F(EtM%7-*KX<$P9kcKw4XmT zUoH8_KE(ObQ=!g>yi?4$1ZBGXOu-J(z1t*m z7aA8^B$D3AGHJ44?&O$Mx9Y%P+fp`7q1#OXybpL4RFc!{e7M6qFZNymb)8L;jynfb zdMrYU3O@;Um64W2o%-MG4J+ZcbmoH4#{k5no5W{Q?(px3>oiA`(RH~y&A$vpu)#$> z0A+0hh?`h6D++fJdD#igZUH z|7h!--`s`P3B2a|u30E$uRcku2AQOFro&D!r2=zV^5<-JG;PQD-ZkGbSRk{=zI==D z?N>vq_0m>Lr<6`OO@_~6IxQ1d-oN2WaAaE2Jp{|Ik@l5IOc)6Mq45QK zO0w&@CjO5wDk*>lsgnEp2r}WtMp#1SNJ6K!q;P(}Hku6(_&HCRhAQF92||KdE=aHQ zOJPWx&+Qi)Cm=&Co~b)>wnBn+?yT5D(ZbERJrXYmH`|2~zQ*Jqdz|m_q2!z?o>Z4< zNNE)GeeK+SD%7na3ApgnR)-#|EV0=3WdN($)Y|0R3ba59pYhT*!T-ZKxy|4y6f?5O2_soQa;7gmEGN9iPY)h?Kw@5VYywiw*6PvTpvpkkV}3n6Um)dyARn=`z!h=#G5q@g;{ z_t31)!oLu5@%ROdS_Kz>%v2umo>c$ZxTJEdQ(S+jnm>fr$f8hcM^NATE^r7FSG08^%WCxr zI$GT3%f0&-*kS@b<~-c!^c&z#8`Hjnb=t@ADLkbCER^C~Dc3&-7v4Q{yLQs*MZkmf zt9N%c+Yyz|FQ$tr*2-zz5wSkM_=;bTZAtH_`v6A60>3SFx;pUS=jLRi94{(P|3p7d z@62UqkycEqZXucaAPJV;d|}HLRla@smB@i(uoy)cPw^)C-to^vgV|(4hjVlGB~7#s zNhw`R@Ryrr@9{d);cF8%3QGEosyh- z-eqITB)D%*t79RiIjyow-()}3%7zbTwu1RQ>t&e-e zf57MIO}xcTF2UH9N5TpQbu}b&U$+DBHV}&-#Y6~CXz}Fg{hp0r_ZLq}J`+z9;~W(L zc-gOffkIACSSh>k?+jOsb9)A1Y{Z+qWcqFiQ;aid=tYViyz*E~kSbSBnCcHv0Hb#< zi1c?4@!(PCMoGhN?=Ve~DSHv=b;lzjy!P}sgPaA8!lj0?u}22<89Mp3=8-MaWMbz* zE;exxVqT-Cb}Oa&C@0{5 zym3aR?USTFisq82^bY%lo+j&g{PCKr1UlCm%5nm=p3scPfPO0oG|mc@6+C`MI5PV% zZABh>v4x?D;)3i^JPL`(w>rqWDdVydMt={E%BZfgre|=imx6=b=s6B9&hBt8t$BnzVQu^N#Lvfb}=iB35jH{7sF2 z)Be7{-cSiWm*RrqKNu-0WKl0WDMZhh-U_~ulS{4=cmcP5qH$j@U5a};Y6`Ptlofs~ zx)lOIb4PLp6x8N6uzxcx&t||Xr1UG;O-{i?&%-Ahul)bQjw`m8GNdY%wn2bav^XkB zn-)kQRf7=vZN0|#d7tC&IUpM0>+oSbI~9mI1rOocbiaMO;tnxxA)Id-YF;ze;8%DD z+iY>t$1xQfK7(!z_qK0=rY>LA{yKhE4K}eFNygfTaB3W>Cq6bOuM)vkndsBKGyr?gk02HdT#m|q&-1J9%oJ|;rl6DE zaMfFp3iumSH_bH*kKgXkybqozlrS=T$=jRH=J=?_smf_T(-!Ig24Ggpz=S)JOi@+M%C1i{ zp@#RM9qToMtXoU9Yb7Wc@Bh4+1ul+*!q1L9fmyWt6LDV0|ope_&V-mK5 zD^YiEVx7eaDaNF5sAryDm!=iLCP4vO<2<{1xEnXKh@5BXMoB@pgFTi2P3kbv6I|*>&AXbgGWy{Pu}ZTL|bg)Z~UQFcDM7C zc$mQ8x;E6Z3HQ?G`^obtjB*`6@h+3jL6==@uXVh7HNUZ=KA+RIm^_;F&r%oB5O)v< zR*d?J0GliNUuNAv272_N9Om5DklAGeAY`5A`AIC-8@0N>Ey(#&TB_hcz{OBNE@jh- z(r)$hKGiRnWI<6cg?|2qL_q_a{4PJ+_nHfd>YK!5|5mLzyF|4wHkQjCfk3Qlq@Vn* zx#9P3H)$uvzM%y*j+mCdGUx)HHfs27-gV&a*RpfR+r{BY4AW4{tk7-p<}#D^E@^G( z?AFON*Ehf^>FB}$B%uH}`U2&lnxt+$J^nFt`_!m_zH2i%7aa?l1oEK4amEF`aWadv zvFtw|y(CoOLTGumYT6Px>+k*h5ivg0S8#^~TP9DFlfh3Whoj3_^i*O$oP^f%6!bEW zf(4jtDq!rVx?$={XkU97>Z9Us0%T6fLjq3PMWawn+6j<^fmJGE$}0o`mk*}d48pj#g}ei?;lAsFf0`{Z ztZ?D=?}@n=!B%&SXiN0tNvLch#8H93_W>~Txqh=iOB&&6^^oGwc-1db$fAgLC z?=7cbkwbV&zXe@SAwW(9p)>p;;%2FTLpO^PV3YT+Yum(&)NTv!migkbFG*Yv=i% zuQyb=xyS=k_%UB4Bp4r{Q%{(u`ZHGcWpC!8kTlBkGu*o8oqB^S`u-!Nc;RBbf_YJCx_H#a6n2o++;RnNrJ#lQnuR2g4bgEyBx z;vau@De1j`kY#ert``8K7X$UsV^5%1_?+BA_iprg=0A;)2P~AoCE%8Mn*DGYx__qn}@2 zaIenQe5rOfm^pSIT4n_e^U@v>pa!*vj5XzrLod=`*kf{)#SdtKQ(DjQ^Tm?L2#>-# z$r54U-$&5Z)>AD~H4~1dLq=+3ceNJa>lR422g*}CY46B(-zK>hU-azD+6_MO4;v0< ze4835fbX=RTx+Hn{y&jbL3fr&N!8)C_uK@et*>>5B^bi>XquLZTKq=Xl8d~*#A0Jl zPyQS*T~1TAvTV4qH6JGO)iXfTp>3U+QNt3=e{h8N#?6ke55Q6V@s{R_i51ZbWXcwWoJo(wY4(D+s4N1TQmrv(;>qdI`r zr4S*_qgmvSGWLRz#1CxeRp!a{I`|`-FikCc+e6UT52Oq-1a;SUJYnL$dUgaTm^uzA zf2w*Fw2=w|Vdz>zwccSKbdH}oe?>=*9SBjJihG-qnx#Y+PnA<9A>^>wpCB z-Vd5M$Ad?c;_8_0Ha4eoQ-9S{&c(PkcJZ@l*(I}9IXEl zE*4Fz_l@~w9BGlO)9BI~)>VnXUEHYFHS!mjFiM1|Yn}gxJa~xy^$oOZqAqP&Fyrsl zo{DmAe}1z|-~20O-z$Brs^~AxT;Gf z&_te>Ym{{S0`Kt45*zeV!YCOP8X9w(NNN@}jMtB|b)Y%6sN}tCO=x2K8{(mEVT=jK zy-Xf$GO-;unf&}cb9n*jbpYaGQ>T`lxO=-)kW9Czd^}316O&7HiMOh*@9^o7l#8OY zN%wbX5zOhduOhIK>_OXqFM-j(?(A2!bnme|7>S12AJypVZbpP_l@XWhaEBA7BR6VS zAYpxAQK=RQT}BFnjTnVmuDmB3oz^eHNp>(c>t@iG6e4PZHSaSrXPP#hP77*qsbt>3e&M1XF?V$%Gjp3&K9F5ql zzAt^mvh30HA!=@nwZ*KG+>2#f*6#?Q=tup{C97?pteAKg6~WOb0M_PTZ&#A|a0fyI zOs6bP^GhF!{=uq#bo~s8Wc^o9L?G*q4At*}IKAi3tv(N1tu%VtcZ0tV>0nO^>fM4I-LTWj+kGrvO%aCss(1zn=u9fl` znbu~2=0ok>Pa;^FwyV`Bt)L-W%jK*;mN2DUg>$RaZ zg1JF{4PvPnr*zYW!G&S?Lgt&I4>+ZFf@$PHJUaDFZrDXrQ-CCbFBVw7&4H5BTeGD%un9GRg04qYvF)8lK{D z{fnl*G}F%ocuzeSUdAWVQGQ)&mSsL@pu?s+Pns|)VZ|mSzJ5LX47;8d`nK+|r1$`$ zOLJ$fvm->A3L6XivpIoZ&noivjB0f2V6dt?qK4;AIe;n&3r1Y*)bXlx(8xqw-K6T8 zqB9$SVR4sKq^DTk4xk9=6@I{_BGUykW$^Rpn>(-gf^R?G`HJT;+YX>uyCxDP(qkoA zw3d=y|9JiJfa`*}RoPn`bpMQhu?DPiyo0qL_N@nu$;n^9UAcxnQ1dh$Q2K%IroU4r z_Xj&;e|suitjG;Db6)7rI{uC+`v17$?R)6p#2wELi)m1X^PKBkyI0 zCCX6rrayyU?00@Ml-?)i9{d5R^5Qiopggu<&3IKK5i!2t-1$pNb1@S^p&otv8_7>< z)hz=#Es6xdvkwT;`Xoqpog*yv)pEP-(DeR=W=m&T^tmieeUnUUKwj1pZuY3{$F)Z` z++c1EhB}1CsVfbZC8$HGO_4&__lu*8`mJyP+M0RL{m#^i>k{ z9a=mf6;Bz~1aRzCt4^8>+=;GDNjOK=>c5?lkL49MjHcJCCFujagHUPRf%Zp9|}(I!?*>Jl#vc`JAH~oa5{u;_KNZEuTY-OX&#wq zP(tc+J~XJ~;&}02OS@wy;emgBC+j9}LH_x7dWQr9ht91s z)-#c3__fKE{|9P7mA`s$0$g0-$BarQWol%cKMVS6=D~S%NY42)X*Q$p#qcu|e4 zdq!BvNO*A%W#G)!Lj_PqHGlPA@1AobM(OzgBK7Yi?u)Kg(T}&NC$4OKEjRwnmMrOc z#*d45>=_34u_~Ci&H&k22ZEeOiGuU^2+!%q0{MI-@8491!cE749W%kk6hX@|Dh6^u z{k%rJjMV|wso%bre7+mvFE#qJPNR83vR@Xm{xm0^ru+A&{<0Y5U))^5=u7}uy?+Rt2L^=@NV|MN5}ru;_HtH2V#9^qm=u` zIf{Pg#(ARtav6V8d9YI&!g3gO*9((C$_hU*xj!TaQWhBxma6+_9nfA3PY~~HT284o z=F4b1IFjcC1VcDT4j{OV8(F*F>ky2rfzd(`m;zXOxUYS+ihfYyTc)Cg7jyL1;1e`z zjQrg2oqLBw@4x=qS!vj~KEjC-Sa)xf4n~i-W14$de9`4(oNY4xNh3AE6l{?O1z1P#j!O{?x$du!zG*zaJv=0yMAG zrw{;-{`e#WI*vJs(;2FAAVU7&*P?P=6N^ z?&~VjQQtstxoT1Rqrxae@4H8up#d&<4gj)=enJ-lmRIzH7ZL0H9PS&~+KYV+UgTHu zUH2ZI^Z#H_Y-7H4TIBnp!Ln{Z^R6vGvBlSn8S%rBk9oxu7?;fgJ8p33zsc{(VmXX{ zxePxS*_UkFX-e;cu#|EQ2@!lG;5b?bga`mpf#E9PAyWXx zod7AR=#P=mQKKZuri~xPM3}jY69f5KI|mSP0F-ikz;fnOS}STN5(wM@puv+8_=-4;{wQTFxvd34 z#ON@O2e4EcP;pj|=!ZvrC~+4{3`NexesKzAR<35 z)>(*&{QL&e&su=O>n26Cx9*t`B%wDLG|}Mm8hsW+&Kvc+EDd&T{E)%;yIzkVBlHi( zM7%GU7S7=V>o!mf5KRN)qi0swAH9=m`zf@PorZT5Z0n&d-`Akl}`}it`$PMlF8rnLq+; zKByDm@0l+EDLd^@ekDaeijfy(zKymV);Z|X5;QN^?GB7rd^f2IeSPIBRIocys{jk5-^?b^``(o`cG3mSFbwVW>S`l0&$(80Md` z5CIu0{E315B*rh;1Zw;bPOuC}#S`*)RbAkI0+DIJo&d-@sD>Hh3`D)3I{>fTCn9~Y z`wiy_)C|x#Dqulvd?zFBx9A7GI|}d4TLQApl6?$bzK@G`aLDiO9paF`BuPQ;)s5H9 z0#se80OKg9`3*1fyY01j&-mZ^GEWsK(B~^W`fpT=s6ZBNX!pJBy%>H!Ab&5$4>^s$ zoAZ#N*FUc82hp57p^Pr7L42bozjqoh6k*`n1sHofPXRYqVD_7XIFQ?u=w(~9m<7Q{ zKtCt~8w)omDRAN?$A-++T?g=qOM=BF52PJNP(4t>i@V}7QX=^!BB`$Clxsvld{GWW zPlu_1-riF{5e1AkR$lZYcnc7UK8<^55%s;MM?;FK>46f^y;We-(z)SCFa6p%@pts} zblwE(PHy}sN-FY`FraGc-1rx{@gL^+@7k=+6Uz_nbE5#VqNPEW7Mb%Je>bFG zYQ)b1{ZkZw(dY{?)*oHz$2)`AAjVVg`R@!t^RNly@8C{g)P<=p4}nuBEdrQD6^1d< zKPUkJ)}FS6ONY#2)TID^Gf)#KYj(VOf)_%Fegay5QT}L$xy&-elX$~Hkm%zEAb0>K zy&>^(V-nZ~OJz+x9MzXo^yBII%P!CLm;CYFuAI^<8h54*sqEV`1Z>t2`9Es^^&4lU zgTqBUPZXi~wQbV);|RZoGX5VrEFwRfF`#+JR!M&9F+KjB1R$My0NRX}^w=((5HVNU z_*sq;Xx2(XM@3)UpCiya=DY+&Kdmxd;B~ATG@dKM@xL2`!e$#L-Zcjrrp6A*ocqUi z4MYV&>NAaUr8%^9r`vJ>+W4j>8Q;(Z*GWEUBwQU3^ZuCXOZT49Min9>DqAW@=g?Xl zl>dKG6(Fz_Qg++Y1o2S|h3ujq=t1IKY!0EJ|Kr z%mL#~GoW3xsD^pEqOG_2)opT`uSwhG%xL^)%|r2r4@>8=s6q2Twg{&YY6b2D?eq2k z~E)Kwc66NnX z-sEXN4VD3URI}$hF0vRpNt*2b^Gbn24CA&}1I3U~Zrm0^X2-Ah*Hju%P-DWyBpebN z9wM$$-IVEr=AXR2iGCb8yhA&qDLwdcL-g$JzfgPo#5ceti?TFAhopNe|PYdoub=&LCfDFf-ZLcjM0} z_Q|RW3|g8}X`Id-ZDRwpQ64-79f|n7gxZmcSGu4BJ!yjdauMtUC9wJZ zg{DRf8k0#V^&sDWZC?>)w>U6zbpx7{4LG@{BzjKTi~Nz<05M&NOgWjLe83@OA*=)x zH!7V?z2Tzk(xZ{tg}|b~+|v~7c$mYZACh|7MsGQ6X*hI_zc$g3i-n*Qz`S3Bw&5X^ zI{~d^L!4}~C(#e;jWDmDGG!!JWxtjx1CK?ld!htD7u5vI?+xmpCyRo)r$@?ujX!t; zI-0oH=If4vaq(R1Mrz){jsKb9Y{uWwIgn*459ZedbOrgB!}y^~M-heoR7uzQHPp8) zfxc@2jGZ;HvNiF@T`JRD>U#H=d`+wHV1eae9$bo-ECS^L&*Dy?zPAd^=Sor-_+tx zz_0@~{XU6)f|H0r*7k+E(3ZIzHu~r|+;d|<0K_l8^Yk#-_f5zuN0jUnOg}gNF$;=s zJi-x1T{;q~j2{>M=qB=KG5CIz2eS;<=r`o=r}48c97WKMgnmWi_oM^HC9_~$HVyjL zx-@#8mg2o3h-_Cnd8U50?lVNVExt<)xaFG{c@Qa{)esI~;oC!C9X0&nu7P_JXG=J< z8q7UZf{9y~VC?z^EFG!H{Xl&5XAmF#!>!2ta2VzA#hBNXog1y2O4CnBH6V#E1;(v5 zVi#m8pxRmm0?=H4xTp6%4&M<0Ak$5Tn=MfgmI4Jb7_s~XL)@4lA|4WOk#lh6@%4Ms zk7rm)hKwYic6MEi@Dh2Rqq_Nc+G6jS0OzS;U&A~v0&B^J@%7@_O)xi|goSSn!ouD%H+UA10;{Xfqy55@CJbI|KzW-B zL%VI5*~^1qp?$HB=)xhM;gdk&%)9>`MjM6ki5ahf$xi`vW0EoIHw1P(X-rN4#u4B} zwb&;|07!-~CuUV)esbL7WiUpXp(xMhIEpAc0uGT1kMN6y_8EdfPJn3Qgb8OAMFY^K z=*NTpcns?;(9Uei7GKjFS58IE);%}?*1bIH<5JuvZEu`H$j`sO`P$=PTrut6SW6uK z{l^w5@hwuwq~Tu3f_kSM}P#NXnt#`Y1jq1BgV5{jsHIUmU$op6z1-!%JnpZhlajoL4(Do zO&Ghb3B#8-F#nVR)Coub)Jw*ql?Voo>&_2s+dfZJ?%uLg?abXr;lVro6=>6zK??Ny zV;VrpP5C}FOmqfF`bE`jD4>}t1KYYN^w2Hhke?{z0>~&gx-xeF4a4UN+K_-`Sy4=R3J>uk_C%opvw-i$)g!_RYlT&efoaUS(Y)I#fVQJ+J` zElW_ic@ng3bEJy_^5!`MW4vTXJ%>HYS(1XTjjo*giIv1)tU6^}9aRI@cC89-$!v5e-Zf>($u{00uB%pn? zr2`0d1g4H9MsLO;%*b4e!PhEHaHp%`-T?2KA>@C#3i__u!0Ny#`Y~k-Wyulkm9$of zy;>xSkg>_A$b3i8gzy@D-cL8UbeCMI7gG*`D!)-j{={lTODiB}_MvldIApiKzfLZ} z?J>Q<6N}AGWfzRAIb^(iN*)hhXlxF9h9ic%RR4cmBT%m>pqqR@tKs)M>UUe?@5T5# zG5%sglMBT+9R>4-DQU3ko^g+qz=Py9{ZIhC~qbUkh8Yx?w za@`Apf8c2{b!3GJ8-|9iT>^Vf5H>Y-9*S2Y4uDK-JxUCY;Tba$p;HVG!0IK*19-hb)kXjsN9au)mT{~C+U6Q) z!?rv*-jeqV1<>#aF^wo_QUee`0VEC)CV$G8&TI zlGf5)RFqsOhxztHl1Gv5`olWj2DVz zFoH`ZF~WFKa3tXoBovunBSoXqEwG#+Jv>S3ncMvhW4DhlZRY;|Kr#!|zbI`4|-5JP9zsH{kKmd2|Gnz3f9-T!+u`guY$z30aIxK20MMc4s4JcH+KS*r?921JkLMhhWWh(>1;qE-zHB1T#inR0Up~n z@>d^gUm>{doH0MPdMN>QT_{YfKU^ML2kjhy$&=SY=>ROwT_oH~(n_vz!ifd$HOFUL z22O@Kq&iWJxdHs6a-QQTfO}UmWc%yq5j8~!X9(yVCTWgF~nf-H9|6?2K8?Z z;r>)nEVwBKaEf!Ga>1rdZ3w>s^c~#rcg>4&-NN7;NdAigFn4g)ASuP3$DvxE5tkA0Mg+5tQp)u84O)w z!Q#{W-!`;t!r{hm&1hioG`Bd;L@5ww_=Ht}k?8NW0|&|g4y7&`9zIyC4#hYC9`&{L z+n#rh9pc7kq?~9HHfAx#t0K|>Aq3)o(}wEOle!7}(4;qZ_Kk?;u3I^>ClC^=1LGP2 zYQ_>eBpnh`f=RK-`Ioyih)q~$FF^4>9{{p}=iX0Npz*I;fF4q_ z8qiRYL@v2de8UMSTstjGe2c0VWIu=LbB5e|L1{k>)d%1iy&iM|9&8?9fj&y4$M7fNVXpVgT+z6G=a)y1|~-V22Wd>GZ0?GmxZBz z;H8#Ez;^A~fgR@@4qnwz!Jel8Plq}JJKba+56~4h60~N}@0!YBI^97QoM`Ge!T-)`Dt1^V;KTh@;EX7e}BHF~_aw z`q}|Y^9P$21f2>F5`7Ph%hF}h?VpkNjR#mJ!#@>KzZc^_e-29A__5i`zH=O!|FKD; zJ8tlz9LJ-+ab^vQZ#ycYKZ?EF=PJTcU{8oZwFPD1nlf-r=>l3$^_q8&s0qxCV6(ThmfesN<-}AxQ+1;4~tDnAL|^s0hbD zVRG~NmrO#U1K7MnIe;u9o=AwOpi@Jjt($AL1#9CqpeIYdH;h|NmPbo^xB?E3oW@17 zq5ZdpjhJfopjSsN6KQ~2_@GGA9Ys={1)flz(+gpdCo}?I)FFnJ1RaYh$2w!Q$7QS_s6tAHA?GXUnFa<>p z`AO9UdW1*(y#qY*m*h?ccu*LUC1cc!um%xmz%zLN$pQ`mT0Jeq``vIs!=M*zaaSk+ z5ij-^puJF=!~6{tiW5loX)*?)ub5Z56ukXMV%8vNvH#JIUio*8rwq1f92nTPeLB$r zXdAb`2%5nYA68Ag2qYkWXw~QtE|vM0DFYc@lxe`&k~~s7Et<%3hWo;x_@{C4Oe@v* zk$_TA;gO;fz!QPhg9;UM^u!b%5)le?g8o`yJJGvM()k5lMtwF_5b1*RWEVQ@qd^8uOnbyJtKa1A07htp#j;)fi2=^ zHULl@Hl&asHjSYH2aTPuSZ5GI2Ht&Da>RnU$o#hswW(U(Q4U{mfXy3{?LULU(h*If z3UmN9*=9@(o+b=J{2GC6?ZN>KAWr=o9(s0k=XrKA0@$$aD5(w~eo@Tk zts17+~ zeB+bs=u5l7Na+fU`yI=B(^b%BszBB)h>N3dTHugsAY6M-a{>nj#EC&p051@@q}_<| zqzv*Cj$RP6m8M!&9BcN>@R#J)SzxuE0ApK_LZ1-A2p$lT&OvNDXYz=zGy&MTh)$#6 zt|~*%WbkRxK1X?Q#iD*DN040V(>5(Z`TZ}6>sSBl9Xv3VeB-Zcpr28P(t8i^?YJ?% zPy*-9F)^P4mwCg^Ph8AJ=u&tzW-oHXpDg&?PwT#liSz-75cfJ!3vyxg%jNfZ>)26d zjGGSGfO=G%0%VRbFsdB3FUs$QJpc@2H%9<^Nz!AK#Tb7uEe?zwvmM*2oOSLqX3(yq z4nTOQO*{5F2cCH+F-vk#NK^$#(#0q4!ErFgYO=+5q``BWC7?$u{wF0}XtlZymgKDO zYyjheSfI_N6@kO6MZ zv(gwv@IpPofFpto>J8Ay(Fs^{L{bJ+t*ni^L3xZWCFijdxZ3B2;Ly*b2R0xV;XKXrz(ZAe;YB^yH+({tCwlkA z*gF|~uSUO`N`rClQH!l&sSgdl{^w_jrMN_DsEpr0PT<{#L{2YeJl-`X)?qYmnv>BT zjUOuj`znGAB#JDI&Gku|Luls*!VW>9fEncga6w$`I;^c1~~#4 zYkU+kIqudepd!jBRdEG|_1ivJft}`NrodE46!c6*G%FfZu>^wq- z#-0YNez~s*RC-*RayQWc>Bc>p^YjFmmme0x5RJ2EfpSAbSk|h|Gl=gP41PHk(Gil< z<7LrCtZm><1?RDiH$g)fCE%GNOh|$=pt6mVEpYG7b9t2d(#f(&H<*`;snOr@#1`vl zXMHv7M581*x9}r;MK)xbuxsFkU|c z+Qo}7J0SO4WNijaVhr1N2!Vo_7SRE;$Uq29&?ailK(R=U8a;+7syJ>c zZ4CuKVpVA>bAF8ca*hX<18I0rY4pAeGzB?!6Uw_Uc(^h=4jml;N`lG6<_GCZPhC!m zrS#k@Y0NR!_fPWt>iKancb@=OaX6Hp2K)OHVPi^41L7P3LY{^p8i_=Q9*5@GhKr>W z@hnq+I;)t>Dpw(vi#JNCrSP_v<}XtgH_<04nP(X-s^>I;^xz=+VD$Yo{5(-VFWKik zu$Lc2Ja2y)n*XvHoPEP`z9h&AJlcl3%=vkqPoJoW$Zx!98Z;#P8nUsAK2Zht@v0(u z(@K0Sf%pr&MxY}C@Eih4%;8AIA59N(D5#9uitI!G&}5(&6tYy~$7MRKQUK;p@`{cw z7Xl#Hof$lL_hWH)mJxUT^>Z%yE`56M&qJ}?9^s=>;G_#_aButKC}>p+v>mgcZCwO? zrU)+2u|*jz3m*`51SAlp@kA_|Qcf91P{wHjw18wYD?vvxIEQ7(`+MRQx<&wf(gZA5 z)Q|qIv>3?f+YgTMbaBFu{HQv3homZ1l25UdTQ-P8L`ql-G0%k;A7S(^E zZ-8Xs15#ciF$X|0GV&~=p|hMU{|c7=dPV?QqkS)Y!Eyi=*?OE@NWV-YC6agzUi zUg$)eJI2MFM-2(Trfe*uk1N<;q^+n-Vjz4+y+2`r+#iyafT6TPeIb+jg0kSUaNZ;< z%|9I|s`XGf#R1iJfaznA)qesEplw@F+I{|>a!mf8=m1E0fNDE0yqh(b!WSfVGEj#L zCg%`wh{m13p#edB6mB>M8m?jI7$I{oI^R%sXO`w0D8 zJtc&tv-Ta+fp&u@G5ZTfe^BdEc#DJ(Z%lk-H7lm-{>2eFeHahb!2w1|owkuqK#Mvj z*1z`FhmydmmUKU9XJ335Sk3mD7E58MQL!N zkBa0L+j!}-6)@g7(<)KpO3dy9gFY7&XT&W=Kd0V5g(wX11Hstosa6&F=Z&TJmiEG& z-$c%PK<58Ae#FMU05<~tL6*CT=AS3xQm}^x9$I(BwTB?D1JHM0b{{j$sgN458^$)s zfOX{kuUQu?A1FA%w1+Y>MIM%Jnlw zeGlz&NRJU7H6hL0HVYWd6xoGalz!w0kTDxrO#^4o2pBia$%R;{oaE!&J1iM@BWI+K z>itP4GB6JM$8%9)KyArw%_{V+AyE#Xx{(MJ;Bj!)9BtG33#6dd)$#sDEenjZ&;Hi< zu1i>M2cT^|Yew64&i9zr$W{SkF&8z?3GgR2)mYzNFQUJB<8dh5bWEOrrq(`qB=ZWm zIr95X4&hWs|IGXYNj7+Z?3_m~XOO}0yN&vgygw1|&;T%S@ch2{waq|lh9b?FAOy{; zr@^>rK|p@@{$cJUEI|T_ao(6YJiya|Sv8@Vr04maQ-UPDe~bz+_@3wkVLeX8GSv61 z>;9x4mk2Gu==qBM2idvWn3E@l1%`cyslNsh@!AswG#$$tyz1I-8=4Ne9e`-&Is2lo zviefI>^5&o5d0g@d$94RdHWWzq&Bu~7Jl>)=)30Csw)YOpquF5jYDX23S?!Ye^OL$ zGx#39cW$TJPs1mjw-0e#>L%w#UVOtbaF3M1ew;`0f+LL|q5t|O6yJDUrU(a7IA(%= z<-A2vj5+ahyc3+ zn{(|8_z7 zAhT@H&)RwC`pd3urrEgh|FicUaFUc|`tMg&9j7OU4Ko|q2)nSNB#{-#C((eMiomHC zka%FaJJge(hxb>{8~_jWOn~u30sVOfPzejWunWt=0-M-0v$GR>dOB73{$Hr>uJBdp zFtfAE6u)6-db+E7y6bt~=gqzZuyplq)YJDEj1Qkno}tocP)fo3T@1n$os)j}hfAxs z^qoztQ;VsA^Y;TDjbefLa+|u0*i;3P)oF;(lpiq`w5m^PB|ddu!L0NnH$T(N*1oeL zGS>25SpaNQr#s+P3Fz^Dv)zNGLcWYytqM?CGHR68)A6dJ+^`N>k^B3lc3=v6C5}KX zVD`SzF@(ipUT;19tcPOpB-Bg*pb!~#+#CN_{rykRm73cu3kWT<#=-;$MWe;7Q-317 z7((0B&OlFRq{%DEhkDX5@#}`zS}pz6CNTCV*@hNYzinA6QmL^9cLSq!5NW9r3nTCK z&_Vb;ltVyjc`cy{A!J44lmj2YH9LZ>?#i$fa`EwAmNF}?<)Yum&mH77026$02kY)D z@_avjHO8brYwkggLLP_34y^aLrT9GR{VlnETQJb;Fe=xlF%sngahV4#S!&SWRf44u z+T?&?2K|t&2uO($a!6Oo1Bv{9e(8A^Jsos;D1mo4;f&u90?3jlO1eR9GEUeiu&YqS zV!=yqC=KB3OtCN8A1%$z@yWbLr$IS>)NJY@AM{w1b?>n{e_BbU^3AI(S6VLj#pUu& zTw2&jqpY+qpwtgg4QS8|26|1TP-E$DSm4)b^{xHwbZ%eq(M~?ft5oqvV*+$;|H2&m z-1V(VkQNkJ(+}Sni9mZcCA8+#Q}2&M4W=y-fkEpTFfOmPqnR?Qe#<*`@fG0` z6adja0g1Q^x@z_Y6pMgXEP0T~|9ss9ATK?3x75@32p%84fGPr!b+xI1Q2wCPLSUPl zU24!_(^I7Ks2J7mASN{8(mD2 z@C|AnF4n)=*HkU&rxo}Dt6%z&u3BHpV?sReXOtJbvZAs#kMOi!hR^PoPaEgpry_A% zoQ?Zpjbb*x-0kbvRqyX41KFW6f)08=dYukOE4wEpzF3rw4`Z$Z0r=#I0flk1Ex1(h zqhpA+$xQy2Y8?v2yxM)?+kP1&|3eV~1-ZesmmPZD(NlOZ1fOW5uvSly4q!TQ%qJV7nQb*>Go3;5s&@;R(NwkkwI z?2!}3p!h_G?HVzN5{REZ#-jg}*o_?tkmj2!-Kk2T6XjksH}0Y;{ds}x_pSFwRiyod zRu))`I-55sbDtkIYn8S2h!+4&?9jJ^5p~9*tbvzxHVGkO4gf8U(>taH9CM?a9jE78)Fj6YGBx4+yis?x@1$zsl2ocreOm_(yy|+^~9o@UQm| zBmeg$SPXzi`shxLqB$s($E>LQPw&rnL|#h!{LcB5XlWnBpIwLZDiTfNCxW zuCfp)2>4!B-;o%i(q1_x4eHiZr5KEr{F2*O(tV8u<_a*GPlJ4Hl(#9Dcf~4AXf@aS zLvX!+DYg_;?+-q4CF<(G+GJUwN8sL7;28n>8p(fJ9uopk&5>XwbwqssW|`fVpV0Z_ zpL`bDF1YA>$+k{tH~~OBiItPiy35FBz&ht2JN-5~=td<{_z)x%b=N3R&lI1DgJTH<#H1DtT z0UG!~gpKwtcl$Z({Sh3JdVjA&-)<@#7TlCT>Z5x!5>TG#L%=<@Lo;UpRzhsF@F&r# zKzdMR14C)>FR^y*ce;*!gF0mdAa&0lS5}|+1J)p9lly`X)0r(0%n7KO2)tAQpa#|b zPhk*mDuamC#sR7YeVxGflKWt3X)SHUmFEOkNYpQ`Hp5rnVz_p$yobHT>rNxamV?FXc}|3R(@B=ThWQ3EFStE}|5^rq2N zU@Niyg^Ug=jsDYZd05@wd&LKSCZpd*FIoN4<8(6!My$I*_vA@Xmc4ZFM`Tro z2mk12o0r+vURD4`A4}7L!{#QZsjT<+dQ6qb(_e08dkjl|H!XP5_a=BI0P`WBV>?t9 z11KwN%#}*({3wj>DX@kiBcB8Lb;o_b_pI}WtHpEG1RyUvcBiyt)z2__QfmOf9SiXA z^#D9lhmI&g!#do22m#Mf>mTNIKrMhrHN1b~-!&5WwpG_OpglJa+TOS&&cr+7l)gO3 z^9nroUn~vFzZ$tKQ$k`qOfE*6>4p|IPyIS1@Qj0DDbz@{hp?pcMRL zby248uWK|dsIk|cSBn!1{_(oIV~G?@IRP*gaQ@|A#YL4j9@=%kY0$S-;sG@GKfX(a{J73Z z!h(&C#c>$fRWN5D7C?F3arZ5H=jA(U!E#Lm5IOm*jq>s}zh)``+<>?Hci0{Mbz}^@ zTmzeX099H)sF4r=%?#@wNH#thhPvt?s<8Hs&ovPE*bDgP1y1FEo67by;=JW=p`eZu z`M)e{uJJ-V2uN3J8I}Q>V~TykZ#DJ)a*gx-tF`{Lt9~c~`i>MKI9|3aE#xsZ9uMHD zKfQoq_@$z4#-E`X%=f3SB|T7NW5WxDT)yL7mwi1NqVB(81VEoTcF9#=poIr+zaP6& zKDJLCdt4aKIDwBUz*CVD3nB$SH)6`Zkbf$%)&L=d2ffhRd!_Tj0v{Z}FP+ce+j8{5 zXeHBZx&?BV3i6y{rJ>8EQbS%D9-#z4r?y*vR!+jD$Q0KuQWsP`9(-}C-0wb~b{)(1vTI`av6 z#hTyZLe3`DarwoH+=5^W5k?hwl0m(M5ZdoX)AZG#I6y6+_ptU}v7Ksw4-Vj$djlkc zN5P3D=hLUmq8C~fxG>MV`V1(i{3=0a#8QH^M3`CYln$s%t6brYn(tpvy}xPwja^AF zb~kYaQItVBZrolAK$}{N07EYnZ0edu{@WJB*d50978pqs^4a3Nf4b%i%{}vB+6jQF zfcPcvzZI*RQI_h?prB`b0NepY(M1xZ3Y=sR*3tpgCh_qh_-a%(z~la&pvPj+d&zt! z!TSLpJ6$}C&Hi`V{wlF*KWm{G{a<8J&b0Q31_!L0NO` zPgY!g^Kr0As*Ggn%0a z!b7bB{46{U0DKLg4+K6A34E~nw;WXZ1egEi$J4Z68}a|!HE#J0%Mb{|a$eQEl;fCN ze{<OfRO;EyIJwl{&s2HO}c@E198n6Ihdoh(~_iCF)Ut$8;0ujKpFn*V4@ zhOVVa*uOc$B;TsM<;P#K|2Lrzn++yqB4Jgb1@@t|6GTlTD z$8otF7D*NO44zz`ta7y6XMSsK2_Q)7;f9gS;XQs9hzub(F!+ntH}` zIQT-ImG)X|{^zer!NfojCJw3~5dtb2+UT-R-}d=hBmWI002)b&Uv~9>kXJoc+Rh>* z0>_0IWcdSs=Olxw)PX-K;F(Ye6!01<-{PtPUk&J8@3m0oi=+C1z%SK_7(5zIgLv3* z5C^ee zMqFl6BTk|$TfHZC*4w{mWGC%aUDzI_$7P_p%Z8nC0#8-oMFu|VAe2IIR;YQALl}an z^9%a`+zxc?Pf%Xx#5g4A-BR992~-XIvY*evMFW7g>OahVc&SUP=S_MXqO1h&y9 zy+?)7Z3Xr{8xTsD7L)J$-p~RATQX3{Xn+hI^ycKY<+pzJ>r#X4Ory>NiT7OlRn*k- z3SBAgj0!syLHPp)k$VsX_Ug&NMhIR24rP6VVA+`eAPC?AuNl_>_)rqxOXh=jaL*U` z*7k7ss)WJU-0CwLu z!5{EJp!c-)<=e3<`{N6Ii$E}Pi1@qKE_1#VgVqH|X2TEljn$noMgl0xttSJ&fhzU? z0F|E=u-E&eX{h%v4fa{r$%B4oNk{bT17M8Ci1qK_vkJ@mdyS=vKPvrq7h&l6Jm2d_Z`LqG;u0)8xfS|1XJB$z<#7*H%`Ls{b03Md zw!w@g02EI^>Y7h}gnTza{W|QM62cbC5v&S=$iQD6coPB^T6r9f90VRhO<$hp3wU6_ zOSY4X74TJpULf&(Wqvil_sJR=m`{L45py_3mVjt?guMnsmF)h1De_;+46qcH)q;np z_pfKZf0WKAP(c0D958k@aSkG{J$7C{dp*TJ&aucH9`!Fy7_k3|NnX~AcrcW>`LOVm zR>+TQaNvauivwumY3TghcmG${`4?@01|L$RPpGVV!z;0K-}xU#X2OL*myE1M71Tfm zVnXmIg=)!RO1`RidLh`W0K6~gof02BWIlL(-af#`Zs3=HHj98tfjONn`5aQrbQ-Eu z0ve{zPXLQ_OI$#Ksjv4pT@7Pr64c+%Wozu%W?#CrL&< z7}LsWKPvruRyRRYcNAWIVvM){77GyT?R|dvXTR{dsaQ>+Ou;!J^}&yRRo(dbrTT$= zr=Up0-XT~T(a)EP*@~sCI|unJRO_``SsH>cvQ=5xrx2M@-140*&+W=!VvpgUqH~AN zidLuo>stDOt2>|LaoA^x2L|l9PzDscs_16?aJSHL3}gfSMo2N1$V5GezJI$-h&i#yuH*3{1d7e(Ca6A1pX z0PO3?hdZCs!ebBBAdvUqmiXe&(Yx|5l*I>8mH_kgU<8-(rX5tu0M{p^iuK2)C61Vz zbPZIQ5g?ZWgwVM8{t@#vyx7dFKAY>O@p=A{lZPR4-XOWH35tK1Q?dLO@Q-XQu)rTn z`LTRIaTQt=SbTar3_L#pqq}nCcM_5Q6ENppe|vlP)52sXB4=lrx`>pa1UL za=-fFXHcry*4Tlpoq}aTElmt^r~=C#^pboMDg%)^5Ge%LXNp{gKiDecilv{T!l0^^ zfnXgI4zb&>DogKS?d=A zpD2$MrQAkqxQ+LWiX=B=Ucw0D%kQN1E-sSz_M~GEFsvhMz?%-lS5t7y%E)c5EAIs06r|} zMSu;E`BecQ94fG^-nh?fOqzSKs5>w!mq&q@tUV)uthrB5s>BB{mWhj?*Iw^$5(XZM zg7!iy7<&?If-h}elIP?>UNHe^L4l1ZFFrJn5kxuKZ*KaP2*@Y*tFZUs2?l!lyfp1e z&%OAJHulY~zl>DWZ#J(lx#RO6iY{1?K5Pkqx&qDDefl59Zu$Esv2LVz>84WwSgkS= zs)DM?pc+E30Nf2EKXUMh8~FVihemkygd=iCf_5-?0bXd;u?OhGS^FR&5HFcQm66#L z$feXD0jNGAfssdSC}uh6M;q-5rV2n7g`iWiAeVYnt-0P`+f0DJBgL)#!Ypg!rLzlJ z>oI-GEh(1crweS$MLtH!@=K5%P+`yS)1c)H=G)U~KYc$Iy}1pN9Z}f&;6cy|^mmAm zTN>tEcG(xYuDIgQQ*Bd}seXd!8_#?pdHFRT&3yMucS_A|-U`4a(UCzCK&p%ATOQ-6xVsI!Q9)44-i$b zsmvV`pWjdau>B{TB0g4=T<6V5_@iU8?*S{Mjn!qG+hXO#3Ys?za_ag)I zgGRxG;Ih@oez6I`??(H-+1`+&GIFs-pM7H4zxP;1e$B{*EYKWbAeu><-N zhZN9XYUP`YXg|MfxB84U2%yKe6<{Bc{nWYx9pSC_u*ZJ%H$R5Zr_i zObV53gRe11QzY>NrGAK@fJi6=0lps4i>$pc&=(H)C8fYZ0JKLSD}j_W_)Gv?aRc%@ z6;2QmvH_3<{S=k6gr>M&uQ414BOL{a&f@PNetfeAh;|Vw7O>O%Q}>>Z?jDb@_1(rm z9PntAPbQ*7p%@m6Uy=NJ4#R=RGBC0wS586dMGO)x@qJ4hk^KqS_3$VR(#g@pXIxpC&L@kXeaVweTQ=T2dC#}*fTm^_ys;4UVaXsIA^4Jl&H7`1 zY~u=X)#3%Ia9G*r97r~fBEc%bS43L_Pa)lrTm3lye z;vNO!OLR6kv{D&JCj=>gl7s|Q*`WmZ+(2BAm=%Y83ATrTw(7E~L)l;eH*(~083KfYlhbW zQ2m;jgyhm?k1f0Bo{ume)`Q_m24ygZ zAp|c{Fz=7W=tZ8^A5UG}4+oqNd*2cJzMznR4*~ix*536n7w`p-HR}Or5KtSDA+}fp zxy7&*Eg33;$<3Sq>?P#XZ6E|dt!`T$^x=fLV%*U_Z1(J9q6Y9Nl&1^fQc$sUFjZh} zKL*?LF{_`&aByQ5hBsxowU6>~UbM)k^{52&5!tWAB-rtP!!YtnmXQPdQ|WNeL{tBY zAK!Nc@%szYjmK#x0D824=JuP0K6t?~+TLBKqhyn%2*^PO7?)GLE;6vh41x&38b2uC z2Z>Qqei-r~2#?=St^5xW#0z-5AK+^Ty+=?llz;C7e5)Iv@n9d@p3`RV$w4MKX-pvd zf($KdB~VhBF9$Ws=>jk^MCKD8UGC0-ejv$wcx7>yGZPz1RzL;Uz8vGx)BhwX^mTm5Ic+Y0P&V3J?M%<`w^M`11jf2uDAxdSTf`r{~M2F!^+^ragH=(#-f-gNVg z-S7Xqhv5(%2p^J?Hh$#(@avOCK5_M*P&ArCl3ekpksW!+{*CKC2#GbjUABAQ2YX6< z|Js156am4(hj2i5fjtc1YXQB;+B@R_4khC1IdIe#U^5V>bm=hn+6*8fs|W6Eg8VLt zkNg7OKQA|7nD-9^V-uD}X=81Qwzyd%~oL)Yt4(0+7+NPZc{w&!6FZSEP@0Y%J0I0J*4%|iD( zFa6?*U;O-Ia0n0OP(FF$oJ-cXO^jbP`k9-4E~Q$e@(wnZ!nFtd?8!ez%)sFefI}UW zuSDb>?RQ1YQHW4HswMmvUf$P45Eut~5PpES1>=MPJ~!wwgp>KsK%Xn{=UN@c{qN#1 z0o|Ys@nt$h`*g@|lZpH`*VEJAk0KcTvjW|3(=3BUkS*wEj7Pzkh%hxE&Cjv{9aIfq z8ssZVyx7Q@PNb23od*ypcnu=shn~&D@H2VxoCcrZgLo$&1%CU{NoZf0fM_!znIeY% zr_#{BKFxOeu~Z+Oa73Gb2>4r1Tl>=`|NZTc!y!HnsRAe+WB>Ju>ofP<@eQfDwE{@w zw%#ry?xhYyRvLL*bMI7N2r#4Cq3;*V^M3#qD;K}apr3{Jh~9fyby07CQ|1E*QhTnf zfqVLsyIz0*CXb-w`~q}dP=JXI5jgPuSjBWxZD#)f zt>~lCe>RSsf?wrRd)dxD-7G=YB~A{+{YY<9VQ76Ga{cDYF#>)iEF9Ua+2jXecsz|r=x&wdl@ z+MLNB-}OmENT6hV%POE`y#=W^ER5<`6oMi0-yKT9+o0p{=tZc$$bVQOb^zDf3>Q@O z=e*73i+cs~oaYeSj+&Ec5Tq7GAl4xh;71@y zzM`#)dA%a$evG`FgZ_1CBKbA5zz4Gg0tbH%B0Y09ulVtgFPjPApD_x6y?<&EhOYhF zZ{?r*>$Or#D_@9+#MT&j-0rIWa}ojyuhjJQtgj_>ag|~}* zdk28S=KX~og{5>Lf;sOl5E9T};Jzq~JxbhwnEiPTns4s~=zXsNN`jLB#^PvxIjV0U zd&~e^vjm*fwG3}CqNTkwh=(M}+(yLDPX~L_W}kue85rA<=O4e!&qJwFqVa)30hI3U zoku_Tz*(t76!D+Y1i(JP@iA%W<}1IKfBLa2WugQOaBS=@gBy9vc!a#=Ir8=q>T>5% zgTjGTssL{nBA_ln0C+4SB$1^TIRC=iysW(w=-6KF?TS&9yaeJ?$NDfVytV-O-4g8i z?}+6tmB$)+fW#sU^WRD2Z3|*Q+k<%^b`6~WmdU})MU@_7#tJ0FD-a8#It)FVgP|>1 z&*&oR&AQeF0|BF{228eSqn)$ibVgysxm~u}i5tpfh z_osnGsL9roN6fr%{=9}iy68u0IR0{U1FhPf9>(6o?~00XWQ{DI2AP9C(C zoYweLP}BW=!!P7vbGnxR(ByhtO z_hzXQFav{SbB+9qcMuAJQsiOF!yp==sr}!r0&u}q1|%0?*!~R(iX${n50)XM_H$Ao(+a&v3PGY5LDK>W;vGa4G?_s? zGm1~if&#ucW@LT80Os5CLi@poWL?*bn_k_V(4{mbsY`=Xf){uxgI zB@!6C;flL5>mR)eT3S8abw5JzPzfGjN7VuxRsXAch6@S(^+2T{a4fv)0I*NI0gGG? zj|#z%*52=DR~P%!bJ=(9ep~y+7j1?ae$03RFi8Ln-gwnF z(@*~ST3##^Wa&|eU>^$h@uxEjP-Po%=iYu$T20oB(41!GyH-o{FLjD6a+~ z{tXQXCKmxL`Fnto4FG$8iJ1yu{~)%i$t+FG`;m5zrhn}CA;DA(-CbRvX^nY8+@%Z=gr?^ z2-|3f09S*_diDcW`8fLmq~IF=%J_Z9egy~iFo-Mtupl6a!MXHR@ESZCgWR`O<}!BAy%X_zSV3PLv~3Hw%rogPFTp!_}CNtjzP8#6<}m0Au)IU zqpKc#;9>&)J#d&DhlK*L9{r#Fmm9`@`0cML%`Nr?LC7ao?^ys8va8289au%VFb>NI z9=BGp^~Y;ziP!UpCjeDp>Ae7M0UQ#YOH@DLp%CnHn}4t8F*Gknu=qU^jBUoS=K-C? z2v|NIux);TeF+M1XNfrx7m< z@$Uq*N6`_0Ys0WJI8geLW(E@T0Ty2-vsU3(?^hu=z=v{Fra?Ocgm#eI3Bp>IY?t}i z3Vv)5pFaoPXP^7^l|T9M%}Qs70f*Uf*bx9da+x%&zu~=Sq@H;6N6o30Ufl(Hv1Jcb z0#(0zUW9;r@rk^ES#2ONL>$4pW!Ss4*VoF6e0B%vAmI!Ezd$4Qjv2V72HSJ~rRSm% ze3}mIJx_s_#S#o{&|z@B4%#H2wNIx2yFm@Mb!5)KAz(qbVu>d-4UAk~U+|%8KeF^& z-~1XIC~_Dcha~~fV{&2)9>4K@$D|(r>-RgGT2IoE0F+^Zeke>Ca9s{SZ5BW19yp|n z;I}f&L#q2Rl2`=@x&wU%fH-|>XTVN;9>q{rY-AHK3X@#vqw z)|+U4uTkpALq2jJ1@8tFzEL6K1XGCoDmDXQU#XA9KZqr~59nSBt@jN~1p_rc~85nD*eO#9K4Aa*DL zZDJCV^ZVAXy5~n%wVd&mt#FthhcyAvLoMXti7$UDKK6q`i}0pIQ0yPJxz|7=0*h`KwsLt9)1B$z8u-ki*) z^ze*9v<0y$Kqba62!mA#@`=54M;|Tp!R9zGHr7k!-

f*FmTL{yFD+-tMwP$+nIyLg?ncRoC`$lZi`KcI0Amm((9JzE~o#nWo5ZIPC+F%s}7B5P}5qKPN1i*rWqr>o*&wZ@VQaa{oRo>z+fLGQHoPXFJlM<59Jc7DR{pr9+3ASmVm!ANum zQRtZrM4Map9(BtnZ|eK#hktP-N&eRa0hEqSKe|2k%r|d4YECA9%>p^`PeiuHjlkM~ z`kR2J4g3%`?;ku$9E0CjaE~CNM@OOPJ~(LBfX#mwxD>9UA~9)*mW$Yei%wU;x`?!> z0j5^}th4W1_LZ-EEV<^meQ<;yM>GM@qkrQQ@?&56R7Y;(Q)e!blOJut(n+q^fRD}( zb^*||BHuqS7!b`5Itdo10=+5+MUj2%qad)b5J+5q;EL>f1{VbdJ5F_h#XAXYR(~P` zu~h5sqi*@czxI9XqxT)Ig*~$bAUL!_9-hAYOHEsTc>7VE6WOa4NQr+`Ftq7meSXw{ z{9hwt0AVZrY)|D=HjIOJ1PGr?l*)4k_C)9R>;7~2@owwyULqo#7XbFOp`A$F?tzgn z0LrV-b>`W35v%|2$=4k>0I#9rH9-ItHK45f=4~D0Pd$3_LL9xW6O^}>hQS~};Q>4o z4qP43oj~;k##yx2K*47*$ptQ%mYv(UU` z=>}r;Z|V8q`+q;>LtbVHU?uZ=znl#^E{F*?RT9){1w3sX!K zUce5c9D)ov_V*9G0FMO$LeK6&kJ$qlgHM;CPoa?C>M*d&d}k~H3uYA3-y7o@h(_as zeIL5!^9yhJw{I#v-9>nf9)fSxxWAWofE`p%WfcET5s-ez(+dICq@ZbLR z|D{ejc{jYqkJl^#l#anI&qOxeb4Pps?|$|Au1WQMJyQG~GC;~Wl<7cR2NC#up4c6^ z^CS_1)-F)+HotItu zG|V2e1YkXO{q_H%o4)t8p79M&oYGw|uIxhbw-O?V838N58tFC$e-ya7Uj>2PD|qLU z*dfm8CGSNNKOj3G-XY)}JHXhP>N6jk%Y&3tp=H_fKlNUJ!{_GRaNX~Z?74gHn3G=r_}cEgad`)dog*U@GY+v!fN>D;G58tsz+d__6!{MNaK1Rv^dJFI zc%}=n|72m|mSSgjU|Gr=a$Zc1be0y%Oz-Qd z&2_+jmKuCI{hSH|`>r1p2lqsl-u5l_=mPX`3!LQunhr2N2@wp%_ES&$RqxF=-QM=r zzj;J%o(29a0W|O!+4(Zs`s;f;_Wkbu!X`Pklx`6=(6nJagx?<=C&1uW z+UpYwaLIMY`2xy)!NUc>SgaUCB!Ejh->J;c79o~M9_YI8;-AcU|KEM5?Si*H_gc*5 z&l12i9)(OAUjEHblRJO=zss}FtUtFoox8Y2ik=*ilsKjVL)3WWcgBhp2)jvLr)k?fg_pfH0(hamW)mEBi#m5L7OV#jyqK zRjns@p@Jqox?&4}`1W!^C)U2Hzx}MUf8FzUSN@2|{6}YH{wx8^3{^0hhW=-titc#u zr%Og2efV@`U;p_{ML0E~MCV9^46uZtu6H?1_AelWAG#?>u))`9xc%fj1Skl<3c|AC zC!j}6;;Rsm(b7Un#$UthqT)+Tkz~cV@(5DFM9q`);Ad>jPOrPWR6jeZ30{Vgh z(P(Tewc_Z-jl2DrK|K_4^+Z4ts@f_>I=gc=j(Ar*wDqW!l9TcM363m}tk z6Wu*~Qpc?NYsUo_KGgO%=RQLG`u!*pnZ1Z+3E;>$G_?o^w>}Gl&#dbmeR|!R^waB4 zgZ_cjBDvzKC`dgDQ3pt->uRMcdVWGQ3Spm{y~=aFG{2uqAQ&oQQxLiim;ydm1X%-! z#uLNIg^QkRUAy+rZRei*Nb~7yH%8~rPtRT{vjp&3QU}rW%P%e%A%rmb{N|I5{{9oB zbmnM9$MY2=%aWp)q=4MZPeG8*3$XmYOG13Hjy=?XrR=u!Gu^gJi*kshhkOAf6%#*R zf@o9A{-)(CpHCfk+`80>Cq3SL>M5HNM<0Cv#bdJw1nkk67D+ViPb^rtJ+*4}^Qkp!HYJZe_PN9{N52wVvSfVrlb$7j z*&{3|Or{|>bO4RNvb8h2XIEcl`^!u6d-g2V4jfpT@87pr%I15GOr{%YS_{IEKxXcY z(t%|0pG6rvB%JM0S+r`XD)-Y%x6|_y>n;)Ui6m2b^7!FI?}A}PiN4x&%&OgqMT=ib zEMLAozGTVvXm9Vn*y2T**~Xh$0+>Cf;LwQ$o*dW*MzIhZd*#JeJeln(j2!Hoc;%&T z8A&}jo9|4&w50n)XpwAM(>@mX*!(!W!Piz3W z0s-dF#MPKRj{HNJeG87vL#k$U*=&yeHGBMj0R{l}0RW2s4)Uh}0000