From cd23503dfda9944088122456e3d47f53bab9dfdc Mon Sep 17 00:00:00 2001 From: Giacomo Tesio Date: Thu, 5 Oct 2017 00:16:13 +0200 Subject: [PATCH] jehanne: add SEGV_MAPERR and SEGV_ACCERR to signal.h --- newlib/libc/sys/jehanne/sys/signal.h | 3 +++ 1 file changed, 3 insertions(+) diff --git a/newlib/libc/sys/jehanne/sys/signal.h b/newlib/libc/sys/jehanne/sys/signal.h index 2ad8f8d9a..5355a94ab 100644 --- a/newlib/libc/sys/jehanne/sys/signal.h +++ b/newlib/libc/sys/jehanne/sys/signal.h @@ -53,6 +53,9 @@ typedef PosixSignalMask sigset_t; /* Signal Actions, P1003.1b-1993, p. 64 */ /* si_code values, p. 66 */ +#define SEGV_MAPERR PosixSIFaultMapError +#define SEGV_ACCERR PosixSIFaultAccessError + #define CLD_EXITED PosixSIChildExited /* On SIGCHLD */ #define CLD_KILLED PosixSIChildKilled #define CLD_DUMPED PosixSIChildKilled