Engine::Base: Formatting

This commit is contained in:
Jonas Kvinge 2023-04-21 15:06:44 +02:00
parent f36ac5272b
commit f49bf0192b
1 changed files with 2 additions and 0 deletions

View File

@ -197,7 +197,9 @@ void Engine::Base::EmitAboutToEnd() {
}
about_to_end_emitted_ = true;
emit TrackAboutToEnd();
}
bool Engine::Base::ValidOutput(const QString &output) {